Each of the following VHDL modules contains an error. For brevity, only the architecture is shown; assume

Question:

Each of the following VHDL modules contains an error. For brevity, only the architecture is shown; assume that the library use clause and entity declaration are correct. Explain the error and show how to fix it. 

(a) 

architecture synth of latch is begin process(clk) begin if clk ='1' then q <= d; end if: end process: end:

(b)

architecture proc of gates is begin process(a) begin Y1 <= a and b: y2 <= a or b; y3 <= a xor b: y4 <= a nand b: y5 <= a

(c)

(d)

(e)

(f)

(g)


Fantastic news! We've Found the answer you've been seeking!

Step by Step Answer:

Related Book For  book-img-for-question
Question Posted: