Using Figure 3-28 as a guide, write a structural VHDL description for the full-adder circuit in Figure
Question:
Using Figure 3-28 as a guide, write a structural VHDL description for the full-adder circuit in Figure 3-58. Compile and simulate your description. Apply all eight input combinations to check the correction function of your description.
Figure 3-28
Figure 3-58
Transcribed Image Text:
4-to-1-Line Multiplexer: Structural VHDL Description (See Figure 3-25 for logic diagram) library ieee, 1cdf_vhdl; use ieee.std_logic_1164.all, lcdf_vhdl. func_prims.all; entity multiplexer_4_to_1_st is port (S: in std_logic_vector (0 to 1); I: in std_logic vector (0 to 3); Y: out std_logic); end multiplexer_4_to_1_st; architecture structural_2 of multiplexer_4_to_1_st is component NOT1 port (inl: in std_logic; outl: out std_logic); end component; component AND2 port (inl, in2: in std_logic; outl: out std_logic); end component; component OR4 port (inl, in2, in3, in4: in std_logic; outl: out std_logic); end component; signal S_n: std_logic_vector (0 to 1); signal D, N: std_logic_vector (0 to 3); begin g0: NOT1 port map (S (0), S_n (0)); g1: NOT1 port map g2: AND2 port map g3: AND2 port map g4: AND2 port map g5: AND2 port map g6: AND2 port map g7: AND2 port map g8: AND2 port map (S(1), S_n (1)); (S_n (1), Sn (0), D (0) ) ; (S_n (1), S (0), D (1)); (S(1), S_n (0), D (2)); (S(1), S (0), D (3)); (D(0), I (0), N (0)); (D(1), I (1), N (1)); (D (2), I(2), N (2)); g9: AND2 port map (D(3), I(3), N (3)); g10: OR4 port map (N(0), N (1), N (2), N (3), Y); end structural_2; 1 GA WNH -- 2 -- 3 4 67 -- 7 8 -- 9 --10 --11 --12 --13 --14 --15 --16 --17 --18 --19 --20 --21 --22 --23 --24
Fantastic news! We've Found the answer you've been seeking!
Step by Step Answer:
Answer rating: 0% (2 reviews)
Based on the image of the VHDL code provided for a 4to1 line multiplexer and the schematic diagram of the full adder we can deduce how to write a stru...View the full answer
Answered By
Pushpinder Singh
Currently, I am PhD scholar with Indian Statistical problem, working in applied statistics and real life data problems. I have done several projects in Statistics especially Time Series data analysis, Regression Techniques.
I am Master in Statistics from Indian Institute of Technology, Kanpur.
I have been teaching students for various University entrance exams and passing grades in Graduation and Post-Graduation.I have expertise in solving problems in Statistics for more than 2 years now.I am a subject expert in Statistics with Assignmentpedia.com.
4.40+
3+ Reviews
10+ Question Solved
Related Book For
Logic And Computer Design Fundamentals
ISBN: 9780133760637
5th Edition
Authors: M. Morris Mano, Charles Kime, Tom Martin
Question Posted:
Students also viewed these Computer science questions
-
Using Figure 3-31 as a guide, write a structural Verilog description for the fulladder circuit in Figure 3-58. Compile and simulate your description. Apply all eight input combinations to check the...
-
Compile and simulate the behavioral description of the 4-bit adder in Figure 3-51. Assuming a ripple carry implementation, apply all eight input combinations to check out the rightmost full adder....
-
Using Figure 3-49 as a guide and a when-else on S from Figure 3-29, write a high-level behavior VHDL description for the addersubtractor in Figure 3-46 (see Figure 3-45 for details). Compile and...
-
One reason you might choose to sync a SharePoint library to your computer is to Select an answer: have a separate copy that your colleagues can't affect have a separate copy in case SharePoint breaks...
-
Halogenated compounds arc particularly easy to identify by their mass spectra because both chlorine and bromine occur naturally as mixtures of two abundant isotopes. Chlorine occurs as 35C1 (75.8%)...
-
When firms increase dividends, stock prices tend to increase. One reason given for this price reaction is that dividends operate as a positive signal. What is the increase in dividends signaling to...
-
Search the literature or web and discuss briefly the principles behind the following flowmeasurement devices: a Pitot tube; a hot-wire anemometer; a laser-Doppler velocity meter; and a...
-
A market research firm used a sample of individuals to rate the purchase potential of a particular product before and after the individuals saw a new television commercial about the product. The...
-
A couple obtained a $20,000 mortgage loan at an interest rate of 10.5% compounded monthly. (Original principal equals to PV of all payments discounted at the interest rate on the loan contract) (1)...
-
Perform the indicated subtraction with the following unsigned binary numbers by taking the 2s complement of the subtrahend: (a) 11010 - 10001 (b) 11110 - 1110 (c) 1111110 - 1111110 (d) 101001 - 101
-
The addersubtractor circuit of Figure 3-45 has the following values for input select S and data inputs A and B: Figure 3-45 Determine, in each case, the values of the outputs S 3 , S 2 , S 1 , S 0 ,...
-
Price the bonds from the above table with semiannual couponpayments. Years to Maturity 10 10 20 30 Coupon Yield to Maturity Par Value $1,000.00 S1,000.00 S5,000.00 S5,000.00 Rate Price 690 9% 12% 790...
-
Interesting take on temporarily suspending operations in Russia. Do you believe that a temporary suspension could lead to the government of Russia taking over the operations?
-
Explain why aliasing will always be present when sampling the signal () = rect .
-
accounting questions related to non-controlling interest: 1. What is non-controlling interest (NCI) in accounting and financial reporting? 2. How is non-controlling interest presented in a...
-
What beliefs are held about the biological processes occurring with regard to her body?
-
Describe the preparation theory of play and explain the limitations of the theory--what does it and does it not explain?
-
Consider the virtual reality technologies employed by Procter & Gamble and described in this case. Break into small groups and brainstorm applications of these types of technologies for companies in...
-
The Higher the time period of the financial security the higher the. ............... risk. O a. Maturity O b. Default and Maturity Oc. Default O d. Liquidity
-
Is an oil pipeline a simplex system, a half-duplex system, a full-duplex system, or none of the above? What about a river or a walkie-talkie-style communication?
-
The cost of a fast microprocessor has dropped to the point where it is now possible to put one in each modem. How does that affect the handling of telephone line errors? Does it negate the need for...
-
A modem constellation diagram similar to Fig. 2-23 has data points at the following coordinates: (1, 1), (1, 1), (1, 1), and (1, 1). How many bps can a modem with these parameters achieve at 1200...
-
Each croquet ball in a set has a mass of 0.49 kg. The green ball, traveling at 13.7 m/s, strikes the blue ball, which is at rest. Assuming that the balls slide on a frictionless surface and all...
-
A 65 g unknown object lost 1332 J energy and its temperature dropped from 96.0 oC to 23.0 oC. What is its specific heat capacity(J/goC)
-
A 100 m wide river has a uniform current flow of 3.0 m/s east relative to the banks of the river. A boat leaving the south bank with a speed of 8.0 m/s relative to the water wants to arrive on the...
Study smarter with the SolutionInn App