If two lines are connected, and both were of std_logic signal, one line has a value...

Posted Date: