Question: Please help i am not sure if the answer is 1 bit or 8 bits, please also explain why Question 9 A module called dflipflop

Please help i am not sure if the answer is 1 bit or 8bits, please also explain why
Question 9
A module called dflipflop is defined as below:
entity dflipflop is
generic (G_DATA_WIDTH : INTEGER :=32);
Port ( CLK : in std_logic;
rst_n : in STD_LOGIC;
D : in std_logic_vector (G_DATA_WIDTH-1 downto 0);
Q : out std_logic_vector (G_DATA_WIDTH-1 downto 0));
end dflipflop;
architecture Behavioral of dflipflop is
begin
dff: process (clk,rst_n)
begin
if (rst_n ='0') then
Q =(others =>'0');
elsif rising_edge(clk) then
Q = D;
end if;
end process dff;
end Behavioral;
The dflipflop module is instantiated in another module as below. Given that signals CLK, rst_n, D1 and
data_in are correctly defined elsewhere, what is the data width that is actually implemented:
dff1 : dflipflop
generic map (G_DATA_WIDTH =>8)
port map(
CLK => CLK,
rst_n => rst_n,
Q => D1,
D => data_in
;
1bit
8 bits
16 bits
32 bits
Please help i am not sure if the answer is 1 bit

Step by Step Solution

There are 3 Steps involved in it

1 Expert Approved Answer
Step: 1 Unlock blur-text-image
Question Has Been Solved by an Expert!

Get step-by-step solutions from verified subject matter experts

Step: 2 Unlock
Step: 3 Unlock

Students Have Also Explored These Related Programming Questions!