Question: Problem Statement or a 1 to each contestant's performance. The score assigned by each judge can be any of the four possible switch combinations to

Problem Statement
or a 1 to each contestant's performance. The score assigned by each judge can be any of the four possible switch combinations to indicate any of the four possible evaluations by that judge.
The switch outputs from each judge are combined to form two vectors, one for contestant A and one for contestant B. Each judge's evaluation contributes one element to each vector.
Design entity tally takes the two vectors as inputs and computes a two-bit signal named winner. Based on the value of signal winner, the contest winner will be displayed on Hex0.
Entity Design
The following entity declaration is given for tally:
entity tally is
port (scoresA, scoresB : in std_logic_vector (2 downto 0);
display_winner : out std_logic_vector (6 downto 0));
end entity;VHDL programming task: Write a behavioral-style architecture named loopy that computes the output display_winner and displays it on Hex0. Your architecture must meet the following specifications:
Use a for loop and variables to count the number of votes for each contestant.
Use an if statement to perform a comparison of the votes and determine a winner.
Use a case statement tlay the winner on hex display Hex0.
The hex display should show "A" for winner A,"b" for winner B,"0" for none, and "-" for tie.
Compile and test your code on the PLD. A functional simulation is not required, but you are encouraged to perform one if you have time during your group discussion.
Design Strategy
Complete your design in three phases by answering these questions, in order:
How can we use variables and a for loop to count the number of votes for each contestant?
How can we perform a comparison of the votes for each contestant to determine the winner?
How can we use a case statement to display the winner on the hex display?
 Problem Statement or a 1 to each contestant's performance. The score

Step by Step Solution

There are 3 Steps involved in it

1 Expert Approved Answer
Step: 1 Unlock blur-text-image
Question Has Been Solved by an Expert!

Get step-by-step solutions from verified subject matter experts

Step: 2 Unlock
Step: 3 Unlock

Students Have Also Explored These Related Databases Questions!