Sketch the state transition diagram for the FSM described by the following HDL code. An FSM of
Question:
Sketch the state transition diagram for the FSM described by the following HDL code. An FSM of this nature is used in a branch predictor on some microprocessors.?
Transcribed Image Text:
SystemVerilog VHDL library IEEE; use IEEE.STD LOGIC_1164. all: module fsm1(input logic clk, reset. input logic taken, back, output logic predicttaken): entity fsml is port(clk, reset: in STD_LOGIC: in STD_LOGIC; predicttaken: out STD LOGIC): logic [4:0] state, nextstate; taken, back: parameter S0 - 5'b00001: parameter SI - 5'b00010: parameter S2 = 5'b00100: parameter $3- 5'b01000: end: architecture synth of fsml is type statetype is (S0, S1, S2, 53. 54): signal state, nextstate: statetype: begin process(clk, reset) begin if reset then state (= S2: elsif rising edge(clk) then state (= nextstate: end if: end process: parameter $4- 5'b10000: always_ff @( posedge clk, posedge reset) if (reset) state (- S2; else state (= nextstate: always_comb case (state) S0: if (taken) nextstate = S1; else nextstate = S0; process (all) begin S1: if (taken) nextstate = S2; else $2: if (taken) nextstate = S3; case state is nextstate = S0; when S0 => if taken then nextstate ( $1: else nextstate (= S0: nextstate- S1: $3: if (taken) nextstate = S4; nextstate = S2; $4: 1f (taken) nextstate = S4; nextstate = S3: else end if: when S1 => if taken then else nextstate > $2: else nextstate (= S0: end if: else default: endcase nextstate = S2: when S2 => 1f taken then assign predicttaken = (state == S4)| (state =- $3) (state == S2 && back): nextstate (= S3: else nextstate (= S1; end if: endmodule when S3 => if taken then nextstate (= $4: else nextstate (= S2: end if: when S4 => if taken then nextstate (= $4; else nextstate (= S3: end if: when others => nextstate (= S2; end case: end process: -- output logic predicttaken (= '1' when ((state = 54) or (state=$3) or (state = S2 and back ='1')) else '0': end:
Fantastic news! We've Found the answer you've been seeking!
Step by Step Answer:
Answer rating: 84% (13 reviews)
taken SO taken taken S1 t...View the full answer
Answered By
Carly Cimino
As a tutor, my focus is to help communicate and break down difficult concepts in a way that allows students greater accessibility and comprehension to their course material. I love helping others develop a sense of personal confidence and curiosity, and I'm looking forward to the chance to interact and work with you professionally and better your academic grades.
4.30+
12+ Reviews
21+ Question Solved
Related Book For
Digital Design and Computer Architecture
ISBN: 978-0123944245
2nd edition
Authors: David Harris, Sarah Harris
Question Posted:
Students also viewed these Computer science questions
-
An existing robot is used in a commercial material laboratory to handle ceramic samples in the high-temperature environment that is part of several test procedures. Due to changing customer needs,...
-
An M30 X 3.5 ISO 8.8 bolt is used in a joint at recommended preload, and the joint is subject to a repeated tensile fatigue load of P = 80 kN per bolt. The joint constant is C = 0.33. Find the load...
-
A set of solar batteries is used in a research satellite. The satellite can run on only one battery, but it runs best if more than one battery is used. The variance 2 of lifetimes of these batteries...
-
A deposit of $4000 is made into an account that earns 11% annual simple interest. How much additional money must be deposited into an account that pays 14% annual simple interest so that the total...
-
The following plot is a residual plot from fitting a regression model to some data. Make a sketch of the scatterplot of the data that led to this residual plot. (There are two possible scatterplots...
-
Indicate whether each of the following statements is true or false by writing T or F in the answer c olumn. A trustee who fails to use prudent judgment in managing a trust can be held liable.
-
Leicht Transfer & Storage provides warehousing services and often purchases pallets from Pallet Central. The companies followed a standard practice for documenting these transactions in which Pallet...
-
Baden Corporation entered into a lease agreement for 10 photocopy machines for its corporate headquarters. The lease agreement qualifies as an operating lease in all terms except there is a...
-
3. If the policy proposed in question 2 is adopted, analyze the potential effects of this policy on the demand for workers under the age of 65. That is, if employers who want their employees to be...
-
Special Order Total cost data follow for Greenfield Manufacturing Company, which has a normal capacity per period of 20,000 units of product that sell for $54 each. For the foreseeable future,...
-
Sketch the state transition diagram for the FSM described by the following HDL code.? SystemVerilog VHDL module fsm2(input logic clk. reset. 11brary IEEE; use IEEE.STD_LOGIC_1164.all: input logic a....
-
Assume that you own a 2005 Ford F750 Super Duty truck. The truck has been driven 32,000 miles. What is a reasonable disposal value for this truck? (Be sure to reducing your estimate of sales price by...
-
The following link, http://www.openstreetmap.org/#map=12/52.1977/0.1507, shows a map of Cambridge, England, based on OpenStreetMap data. Use the map to compare the quality of OpenStreetMap data with...
-
Chapter 4 LO 2. Describe bases fro identifying target segments. LO 4. Identify the essentials of a positioning strategy. Chapter 5 LO 3. Discuss how brand communication influences consumer's...
-
What kind of internal/external strategies/methods can I use for effective employee recruitment and how can I explain why it is appropriate to healthcare? Regarding employee candidate selection (Job...
-
At what separation is the electrostatic force between a +11.2(-mew)C point charge and a +29.1(-mew)C point charge equal in magnitude to 1.57 N?
-
Determine and rationalize validation metrics for Television ads, Billboards, and digital ads. a) include a collaborative and dynamic decision-making model. b) Align communication plans to short and...
-
The total cost of purchasing 8 notebooks and 9 binders is $60. The total cost of purchasing 6 notebooks and 5 binders is $38. How much does each notebook cost? How much does each binder cost?
-
Using the information in Problem 9-46, complete the following: In Problem 9-46 Computer Graphics (CG) is a small manufacturer of electronic products for computers with graphics capabilities. The...
-
In the series connection below, what are the respective power consumptions of R, R2, and R3? R R www 4 V=6V P1-3 W; P2=3W; and P3= 3 W OP10.5 W; P2-1 W; and P3= 1.5 W P1=1.5 W; P2=1 W; and P3= 0.5 W...
-
Assume we are sending data items of 16-bit length. If two data items are swapped during transmission, can the traditional checksum detect this error? Explain.
-
A simple parity-check bit, which is normally added at the end of the word (changing a 7-bit ASCII character to a byte), cannot detect even numbers of errors. For example, two, four, six, or eight...
-
In CRC, we have chosen the generator 1100101. What is the probability of detecting a burst error of length a. 5? b. 7? c. 10?
-
There are two tabs to the excel Spreadsheet (also found on Wiley, but you are better off using the one attached to this assignment) . The first tab is the tab where you will place the ratios for the...
-
1. What is the par value of each common share? 2. What is the number of issued common shares at the end of fiscal year 2022? What is the number of outstanding common shares at the end of fiscal year...
-
Find log5,log4, and log20. Show that log20=log5+log4. What property of logarithms does this equality illustrate? What property of exponentiation does this property come from?
Study smarter with the SolutionInn App