Question: Using ModelSim: 1. The following code requires two 8-bit multipliers. a)Modify the code to only use one 8-bit multiplier. b)Instantiate the original and final model

Using ModelSim:

1. The following code requires two 8-bit multipliers.

Using ModelSim: 1. The following code requires two 8-bit multipliers. a)Modify the

code to only use one 8-bit multiplier. b)Instantiate the original and final

a)Modify the code to only use one 8-bit multiplier.

b)Instantiate the original and final model in a testbench and test them in parallel to verify identical functionality. Compare the output of both models and increment an error counter if they differ. Output a waveform showing at least the multiplied result and the error counter. The testbench will look like:

model in a testbench and test them in parallel to verify identical

default_nettype none module mult_orig(input wire mult12, mult34, input wire [3:0] data1, data2, data3, data4, output reg [7:0] data_out); wire [7:0] mult of 12, mult of 34; assign mult_of_34 data3 data4; assign mult of_12-data1 data2; default_nettype none module mult_orig(input wire mult12, mult34, input wire [3:0] data1, data2, data3, data4, output reg [7:0] data_out); wire [7:0] mult of 12, mult of 34; assign mult_of_34 data3 data4; assign mult of_12-data1 data2

Step by Step Solution

There are 3 Steps involved in it

1 Expert Approved Answer
Step: 1 Unlock blur-text-image
Question Has Been Solved by an Expert!

Get step-by-step solutions from verified subject matter experts

Step: 2 Unlock
Step: 3 Unlock

Students Have Also Explored These Related Databases Questions!