Write an HDL module for the FSM from Exercise 3.30. Data from problem 3.30 Design an FSM

Question:

Write an HDL module for the FSM from Exercise 3.30. 


Data from problem 3.30

Design an FSM with one input, A, and two outputs, X and Y. X should be 1 if A has been 1 for at least three cycles altogether (not necessarily consecutively). Y should be 1 if A has been 1 for at least two consecutive cycles. Show your state transition diagram, encoded state transition table, next state and output equations, and schematic.

Fantastic news! We've Found the answer you've been seeking!

Step by Step Answer:

Related Book For  answer-question
Question Posted: