Question: Write HDL code for the multicycle MIPS processor. The processor should be compatible with the following top-level module. The mem module is used to hold

Write HDL code for the multicycle MIPS processor. The processor should be compatible with the following top-level module. The mem module is used to hold both instructions and data. Test your processor using the testbench from Section 7.6.3?

module top(input logic clk, reset, output logic [31:0] writedata, adr. output logic

memwrite); logic [31:0] readdata; // instantiate processor and memories mips mips (clk,

module top(input logic clk, reset, output logic [31:0] writedata, adr. output logic memwrite); logic [31:0] readdata; // instantiate processor and memories mips mips (clk, reset, adr, writedata, memwrite, readdata); mem mem (clk, memwrite, adr, writedata, readdata); endmodule module mem(input logic clk, we, input logic [31:0] a, wd, output logic [31:0] rd); logic [31:0] RAM[63:0]; initial begin $readmemh ( "memfile.dat", RAM); end

Step by Step Solution

3.53 Rating (156 Votes )

There are 3 Steps involved in it

1 Expert Approved Answer
Step: 1 Unlock

MIPS Multicycle Processor SystemVerilog VHDL MIPS Multicycle Control SystemVer... View full answer

blur-text-image
Question Has Been Solved by an Expert!

Get step-by-step solutions from verified subject matter experts

Step: 2 Unlock
Step: 3 Unlock

Students Have Also Explored These Related Digital Design Computer Questions!