Implement the following state table using a ROM and two D flip-flops. Use a straight binary state

Question:

Implement the following state table using a ROM and two D flip-flops. Use a straight binary state assignment. 

(a) Show the block diagram and the ROM truth table. Truth table column headings should be in the order Q1 Q0 X D1 DZ.

(b) Write Verilog code for the implementation. Use an array to represent the ROM table, and use two processes.

Next State Output (Z) Present State X = 0 X = 1 X = 0 X= 1 So S, So S2 S, S2 S3 S3 S3 S3 S2

Fantastic news! We've Found the answer you've been seeking!

Step by Step Answer:

Related Book For  book-img-for-question

Digital Systems Design Using Verilog

ISBN: 978-1285051079

1st edition

Authors: Charles Roth, Lizy K. John, Byeong Kil Lee

Question Posted: