Question: Exercise N 2: (10 points) 1- Describe the function realize by the code below? (5 points) library ieee; use ieee.std_logic_1164.all; entity fct is port (
Exercise N 2: (10 points) 1- Describe the function realize by the code below? (5 points) library ieee; use ieee.std_logic_1164.all; entity fct is port ( a: in std_logic; b: in std_logic; s: out std_logic); end fct; architecture archi fct of fet is begin process (a, b) begin if (a=b) then s
Step by Step Solution
There are 3 Steps involved in it
Get step-by-step solutions from verified subject matter experts
